skip to main content
10.1145/3579371.3589107acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections

SCALO: An Accelerator-Rich Distributed System for Scalable Brain-Computer Interfacing

Published:17 June 2023Publication History

ABSTRACT

SCALO is the first distributed brain-computer interface (BCI) consisting of multiple wireless-networked implants placed on different brain regions. SCALO unlocks new treatment options for debilitating neurological disorders and new research into brain-wide network behavior. Achieving the fast and low-power communication necessary for real-time processing has historically restricted BCIs to single brain sites. SCALO also adheres to tight power constraints, but enables fast distributed processing. Central to SCALO's efficiency is its realization as a full stack distributed system of brain implants with accelerator-rich compute. SCALO balances modular system layering with aggressive cross-layer hardware-software co-design to integrate compute, networking, and storage. The result is a lesson in designing energy-efficient networked distributed systems with hardware accelerators from the ground up.

References

  1. Ameer M. S. Abdelhadi, Eugene Sha, Ciaran Bannon, Hendrik Steenland, and Andreas Moshovos. 2021. Noema: Hardware-Efficient Template Matching for Neural Population Pattern Detection. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture (Virtual Event, Greece) (MICRO '21). Association for Computing Machinery, New York, NY, USA, 522--534. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Naubahar S. Agha, Jacob Komar, Ming Yin, David A. Borton, and Arto Nurmikko. 2013. A fully wireless platform for correlating behavior and neural data from an implanted, neural recording device: Demonstration in a freely moving swine model. In 2013 6th International IEEE/EMBS Conference on Neural Engineering (NER). IEEE, 989--992. Google ScholarGoogle ScholarCross RefCross Ref
  3. Nur Ahmadi, Matthew L Cavuto, Peilong Feng, Lieuwe B Leene, Michal Maslik, Federico Mazza, Oscar Savolainen, Katarzyna M Szostak, Christos-Savvas Bouganis, Jinendra Ekanayake, et al. 2019. Towards a Distributed, Chronically-Implantable Neural Interface. In 2019 9th International IEEE/EMBS Conference on Neural Engineering (NER). IEEE, 719--724. Google ScholarGoogle ScholarCross RefCross Ref
  4. A Bolu Ajiboye, Francis R Willett, Daniel R Young, William D Memberg, Brian A Murphy, Jonathan P Miller, Benjamin L Walter, Jennifer A Sweet, Harry A Hoyen, Michael W Keith, P Hunter Peckham, John D Simeral, John P Donoghue, Leigh R Hochberg, and Robert F Kirsch. 2017. Restoration of reaching and grasping movements through brain-controlled muscle stimulation in a person with tetraplegia: a proof-of-concept demonstration. The Lancet 389, 10081 (May 2017), 1821--1830. Google ScholarGoogle ScholarCross RefCross Ref
  5. Elon Musk and. 2019. An Integrated Brain-Machine Interface Platform With Thousands of Channels. Journal of Medical Internet Research 21, 10 (Oct. 2019), e16194. Google ScholarGoogle ScholarCross RefCross Ref
  6. Richard A. Andersen, Tyson Aflalo, Luke Bashford, David Bjånes, and Spencer Kellis. 2022. Exploring Cognition with Brain-Machine Interfaces. Annual Review of Psychology 73, 1 (Jan. 2022), 131--158. Google ScholarGoogle ScholarCross RefCross Ref
  7. Joseph N. Y. Aziz, Karim Abdelhalim, Ruslana Shulyzki, Roman Genov, Berj L. Bardakjian, Miron Derchansky, Demitre Serletis, and Peter L. Carlen. 2009. 256-Channel Neural Recording and Delta Compression Microsystem With 3D Electrodes. IEEE Journal of Solid-State Circuits 44, 3 (March 2009), 995--1005. Google ScholarGoogle ScholarCross RefCross Ref
  8. Hadi Bahrami, S. Abdollah Mirbozorgi, An T. Nguyen, Benoit Gosselin, and Leslie A. Rusch. 2016. System-Level Design of a Full-Duplex Wireless Transceiver for Brain-Machine Interfaces. IEEE Transactions on Microwave Theory and Techniques 64, 10 (Oct. 2016), 3332--3341. Google ScholarGoogle ScholarCross RefCross Ref
  9. Tonio Ball, Markus Kern, Isabella Mutschler, Ad Aertsen, and Andreas Schulze-Bonhage. 2009. Signal quality of simultaneously recorded invasive and noninvasive EEG. NeuroImage 46, 3 (July 2009), 708--716. Google ScholarGoogle ScholarCross RefCross Ref
  10. Fabrice Bartolomei, Stanislas Lagarde, Fabrice Wendling, Aileen McGonigal, Viktor Jirsa, Maxime Guye, and Christian Bénar. 2017. Defining epileptogenic networks: Contribution of SEEG and signal analysis. Epilepsia 58, 7 (2017), 1131--1147. Google ScholarGoogle ScholarCross RefCross Ref
  11. Sliman J. Bensmaia and Lee E. Miller. 2014. Restoring sensorimotor function through intracortical interfaces: progress and looming challenges. Nature Reviews Neuroscience 15, 5 (2014), 313--325. Google ScholarGoogle ScholarCross RefCross Ref
  12. Ned Bingham and Rajit Manohar. 2019. QDI Constant-Time Counters. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27, 1 (Jan. 2019), 83--91. Google ScholarGoogle ScholarCross RefCross Ref
  13. Alliance Biomedica. 2019. Spencer Probe Depth Electrodes Retrieved August 10, 2019 from http://alliancebiomedica.com/index.php?route=product/product&product_id=164. (Aug. 2019).Google ScholarGoogle Scholar
  14. Blackrock Microsystems. 2019. The Benchmark for Multichannel, High-density Neural Recording. https://www.blackrockmicro.com/electrode-types/utah-array/. Retrieved August 10, 2019.Google ScholarGoogle Scholar
  15. Hal Blumenfeld. 2014. What Is a Seizure Network? Long-Range Network Consequences of Focal Seizures. In Issues in Clinical Epileptology: A View from the Bench. Springer Netherlands, 63--70. Google ScholarGoogle ScholarCross RefCross Ref
  16. Réka Barbara Bod, János Rokai, Domokos Meszéna, Richárd Fiáth, István Ulbert, and Gergely Márton. 2022. From End to End: Gaining, Sorting, and Employing High-Density Neural Single Unit Recordings. Frontiers in Neuroinformatics 16 (June 2022). Google ScholarGoogle ScholarCross RefCross Ref
  17. David A Borton, Ming Yin, Juan Aceros, and Arto Nurmikko. 2013. An implantable wireless neural interface for recording cortical circuit dynamics in moving primates. Journal of Neural Engineering 10, 2 (Feb. 2013), 026010. Google ScholarGoogle ScholarCross RefCross Ref
  18. Alessio Paolo Buccino and Gaute Tomas Einevoll. 2020. MEArec: A Fast and Customizable Testbench Simulator for Ground-truth Extracellular Spiking Activity. Neuroinformatics 19, 1 (July 2020), 185--204. Google ScholarGoogle ScholarCross RefCross Ref
  19. Yingqiu Cao, Nikolai Rakhilin, Philip H Gordon, Xiling Shen, and Edwin C Kan. 2016. A real-time spike classification method based on dynamic time warping for extracellular enteric neural recording with large waveform variability. Journal of Neuroscience Methods 261 (2016), 97--109. Google ScholarGoogle ScholarCross RefCross Ref
  20. Jose M Carmena, Mikhail A Lebedev, Roy E Crist, Joseph E Odoherty, David M Santucci, Dragan F Dimitrov, Parag G Patil, Craig S Henriquez, and Miguel A. L Nicolelis. 2003. Learning to Control a Brain-Machine Interface for Reaching and Grasping by Primates. PLOS Biology 1, 2 (2003). Google ScholarGoogle ScholarCross RefCross Ref
  21. Moo Sung Chae, Zhi Yang, Mehmet R. Yuce, Linh Hoang, and Wentai Liu. 2009. A 128-Channel 6 mW Wireless Neural Recording IC With Spike Feature Extraction and UWB Transmitter. IEEE Transactions on Neural Systems and Rehabilitation Engineering 17, 4 (Aug. 2009), 312--321. Google ScholarGoogle ScholarCross RefCross Ref
  22. Santosh Chandrasekaran, Matthew Fifer, Stephan Bickel, Luke Osborn, Jose Herrero, Breanne Christie, Junqian Xu, Rory K. J. Murphy, Sandeep Singh, Matthew F. Glasser, Jennifer L. Collinger, Robert Gaunt, Ashesh D. Mehta, Andrew Schwartz, and Chad E. Bouton. 2021. Historical perspectives, challenges, and future directions of implantable brain-computer interfaces for sensorimotor applications. Bioelectronic Medicine 7, 1 (Sept. 2021). Google ScholarGoogle ScholarCross RefCross Ref
  23. Ujwal Chaudhary, Niels Birbaumer, and Ander Ramos-Murguialday. 2016. Brain-computer interfaces for communication and rehabilitation. Nature Reviews Neurology 12, 9 (01 Sep 2016), 513--525. Google ScholarGoogle ScholarCross RefCross Ref
  24. Tsan-Jieh Chen, Chi Jeng, Shun-Ting Chang, Herming Chiueh, Sheng-Fu Liang, Yu-Cheng Hsu, and Tzu-Chieh Chien. 2011. A Hardware Implementation of Real-Time Epileptic Seizure Detector on FPGA. In 2011 IEEE Biomedical Circuits and Systems Conference (BioCAS). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  25. Jaeouk Cho, Geunchang Seong, Yonghee Chang, and Chul Kim. 2021. Energy-Efficient Integrated Circuit Solutions Toward Miniaturized Closed-Loop Neural Interface Systems. Frontiers in Neuroscience 15 (May 2021). Google ScholarGoogle ScholarCross RefCross Ref
  26. Breanne P Christie, Derek M Tat, Zachary T Irwin, Vikash Gilja, Paul Nuyujukian, Justin D Foster, Stephen I Ryu, Krishna V Shenoy, David E Thompson, and Cynthia A Chestek. 2014. Comparison of spike sorting and thresholding of voltage waveforms for intracortical brain-machine interface performance. Journal of Neural Engineering 12, 1 (Dec. 2014), 016009. Google ScholarGoogle ScholarCross RefCross Ref
  27. Jason E Chung, Hannah R Joo, Jiang Lan Fan, Daniel F Liu, Alex H Barnett, Supin Chen, Charlotte Geaghan-Breiner, Mattias P Karlsson, Magnus Karlsson, Kye Y Lee, et al. 2019. High-Density, Long-Lasting, and Multi-region Electro-physiological Recordings Using Polymer Electrode Arrays. Neuron 101, 1 (2019), 21--31. Google ScholarGoogle ScholarCross RefCross Ref
  28. Jason E Chung, Jeremy F Magland, Alex H Barnett, Vanessa M Tolosa, Angela C Tooker, Kye Y Lee, Kedar G Shah, Sarah H Felix, Loren M Frank, and Leslie F Greengard. 2017. A Fully Automated Approach to Spike Sorting. Neuron 95, 6 (2017), 1381--1394. Google ScholarGoogle ScholarCross RefCross Ref
  29. Jennifer Couzin-Frankel. 2021. Google ScholarGoogle ScholarCross RefCross Ref
  30. Radu Darie, Marc Powell, and David Borton. 2017. Delivering the Sense of Touch to the Human Brain. Neuron 93, 4 (2017), 728--730. Google ScholarGoogle ScholarCross RefCross Ref
  31. P. Elias. 1975. Universal Codeword Sets and Representations of the Integers. IEEE Transactions on Information Theory 21, 2 (March 1975), 194--203. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Maged M. Elwassif, Qingjun Kong, Maribel Vazquez, and Marom Bikson. 2006. Bio-Heat Transfer Model of Deep Brain Stimulation Induced Temperature changes. In 2006 International Conference of the IEEE Engineering in Medicine and Biology Society. IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  33. Nir Even-Chen, Dante G. Muratore, Sergey D. Stavisky, Leigh R. Hochberg, Jaimie M. Henderson, Boris Murmann, and Krishna V. Shenoy. 2020. Power-Saving Design Opportunities for Wireless Intracortical Brain-Computer Interfaces. Nature Biomedical Engineering (2020). Google ScholarGoogle ScholarCross RefCross Ref
  34. D. Garrett, D.A. Peterson, C.W. Anderson, and M.H. Thaut. 2003. Comparison of linear, nonlinear, and feature selection methods for EEG signal classification. IEEE Transactions on Neural Systems and Rehabilitation Engineering 11, 2 (June 2003), 141--144. Google ScholarGoogle ScholarCross RefCross Ref
  35. Vikash Gilja, Chethan Pandarinath, Christine H Blabe, Paul Nuyujukian, John D Simeral, Anish A Sarma, Brittany L Sorice, János A Perge, Beata Jarosiewicz, Leigh R Hochberg, Krishna V Shenoy, and Jaimie M Henderson. 2015. Clinical translation of a high-performance neural prosthesis. Nature Medicine 21, 10 (Sept. 2015), 1142--1145. Google ScholarGoogle ScholarCross RefCross Ref
  36. Lewis Girod, Yuan Mei, Ryan Newton, Stanislav Rost, Arvind Thiagarajan, Hari Balakrishnan, and Samuel Madden. 2008. XStream: a Signal-Oriented Data Stream Management System. In 2008 IEEE 24th International Conference on Data Engineering. 1180--1189. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Graham Gobieski, Ahmet Oguz Atli, Kenneth Mai, Brandon Lucia, and Nathan Beckmann. 2021. Snafu: An Ultra-Low-Power, Energy-Minimal CGRA-Generation Framework and Architecture. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Graham Gobieski, Souradip Ghosh, Marijn Heule, Todd Mowry, Tony Nowatzki, Nathan Beckmann, and Brandon Lucia. 2022. RipTide: A Programmable, Energy-Minimal Dataflow Compiler and Architecture. In 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  39. Jamie J. Van Gompel, S. Matthew Stead, Caterina Giannini, Fredric B. Meyer, W. Richard Marsh, Todd Fountain, Elson So, Aaron Cohen-Gadol, Kendall H. Lee, and Gregory A. Worrell. 2008. Phase I trial: safety and feasibility of intracranial electroencephalography using hybrid subdural electrodes containing macro- and microelectrode arrays. Neurosurgical Focus 25, 3 (Sept. 2008), E23. Google ScholarGoogle ScholarCross RefCross Ref
  40. David Gorisse, Matthieu Cord, and Frederic Precioso. 2011. Locality-Sensitive Hashing for Chi2 Distance. IEEE Transactions on Pattern Analysis and Machine Intelligence 34, 2 (2011), 402--409. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Lukas Grossberger, Francesco P. Battaglia, and Martin Vinck. 2018. Unsupervised clustering of temporal patterns in high-dimensional neuronal ensembles using a novel dissimilarity measure. PLOS Computational Biology 14, 7 (July 2018), e1006283. Google ScholarGoogle ScholarCross RefCross Ref
  42. Jason J Han. 2021. Synchron receives FDA approval to begin early feasibility study of their endovascular, brain-computer interface device. Artificial Organs 45, 10 (2021), 1134--1135. Google ScholarGoogle ScholarCross RefCross Ref
  43. Reid R. Harrison, Ryan J. Kier, Bradley Greger, Florian Solzbacher, Cynthia A. Chestek, Vikash Gilja, Paul Nuyujukian, Stephen I. Ryu, and Krishna V. Shenoy. 2008. Wireless neural signal acquisition with single low-power integrated circuit. In 2008 IEEE International Symposium on Circuits and Systems. IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  44. Adam O. Hebb, Jun Jason Zhang, Mohammad H. Mahoor, Christos Tsiokos, Charles Matlack, Howard Jay Chizeck, and Nader Pouratian. 2014. Creating the Feedback Loop: Closed Loop Neurostimulation. Neurosurgery Clinics of North America 25, 1 (2014), 187--204. Google ScholarGoogle ScholarCross RefCross Ref
  45. Christian Herff, Dean J Krusienski, and Pieter Kubben. 2020. The Potential of Stereotactic-EEG for Brain-Computer Interfaces: Current Progress and Future Directions. Frontiers in Neuroscience 14 (2020), 123. Google ScholarGoogle ScholarCross RefCross Ref
  46. Xiaoxiao Hou, Craig Galligan, Jeffrey Ashe, David A. Borton, and Marc Powell. [n. d.]. Toward multi-area distributed network of implanted neural interrogators. In Biosensing and Nanomedicine X (San Diego, United States, 2017-08-29), Hooman Mohseni, Massoud H. Agahi, and Manijeh Razeghi (Eds.). SPIE, 18. Google ScholarGoogle ScholarCross RefCross Ref
  47. L. Huang and G. van. 2013. Brain Computer Interface for Epilepsy Treatment. In Brain-Computer Interface Systems - Recent Progress and Future Prospects. InTech. Google ScholarGoogle ScholarCross RefCross Ref
  48. iee.org. 2023. ieeg.org Retrievied April 20, 2023 from http://ieeg.org. (April 2023).Google ScholarGoogle Scholar
  49. Piotr Indyk and Rajeev Motwani. 1998. Approximate nearest neighbors: towards removing the curse of dimensionality. In Proceedings of the thirtieth annual ACM symposium on Theory of computing - STOC '98. ACM Press. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. Beata Jarosiewicz, Anish A. Sarma, Daniel Bacher, Nicolas Y. Masse, John D. Simeral, Brittany Sorice, Erin M. Oakley, Christine Blabe, Chethan Pandarinath, Vikash Gilja, Sydney S. Cash, Emad N. Eskandar, Gerhard Friehs, Jaimie M. Henderson, Krishna V. Shenoy, John P. Donoghue, and Leigh R. Hochberg. 2015. Virtual Typing by People with Tetraplegia Using a Self-Calibrating Intracortical Brain-Computer Interface. Science Translational Medicine 7, 313 (Nov. 2015). Google ScholarGoogle ScholarCross RefCross Ref
  51. Viktor K Jirsa, Timothée Proix, Dionysios Perdikis, Michael Marmaduke Woodman, Huifang Wang, Jorge Gonzalez-Martinez, Christophe Bernard, Christian Bénar, Maxime Guye, Patrick Chauvel, et al. 2017. The Virtual Epileptic Patient: Individualized whole-brain models of epilepsy spread. Neuroimage 145 (2017), 377--388. Google ScholarGoogle ScholarCross RefCross Ref
  52. Ioannis Karageorgos, Karthik Sriram, Ján Veselỳ, Michael Wu, Marc Powell, David Borton, Rajit Manohar, and Abhishek Bhattacharjee. 2020. Hardware-software co-design for brain-computer interfaces. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 391--404. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. Ioannis Karageorgos, Karthik Sriram, Ján Veselý, Nick Lindsay, Xiayuan Wen, Michael Wu, Marc Powell, David Borton, Rajit Manohar, and Abhishek Bhattacharjee. 2021. Balancing Specialized Versus Flexible Computation in Brain-Computer Interfaces. IEEE Micro 41, 3 (2021), 87--94. Google ScholarGoogle ScholarCross RefCross Ref
  54. David Karger, Eric Lehman, Tom Leighton, Rina Panigrahy, Matthew Levine, and Daniel Lewin. 1997. Consistent Hashing and Random Trees: Distributed Caching Protocols for Relieving Hot Spots on the World Wide Web. In Proceedings of the twenty-ninth annual ACM symposium on Theory of computing - STOC '97. ACM Press. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. Hossein Kassiri, Arezu Bagheri, Nima Soltani, Karim Abdelhalim, Hamed Mazhab Jafari, M. Tariqus Salam, Jose Luis Perez Velazquez, and Roman Genov. 2014. Inductively-powered direct-coupled 64-channel chopper-stabilized epilepsy-responsive neurostimulator with digital offset cancellation and tri-band radio. In ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  56. Hossein Kassiri, Sana Tonekaboni, M. Tariqus Salam, Nima Soltani, Karim Abdelhalim, Jose Luis Perez Velazquez, and Roman Genov. [n. d.]. Closed-Loop Neurostimulators: A Survey and A Seizure-Predicting Design Example for Intractable Epilepsy Treatment. 11, 5 ([n. d.]), 1026--1040. Google ScholarGoogle ScholarCross RefCross Ref
  57. Sohee Minsun Kim, Prashant Tathireddy, R. Normann, and Florian Solzbacher. 2007. Thermal Impact of an Active 3-D Microelectrode Array Implanted in the Brain. IEEE Transactions on Neural Systems and Rehabilitation Engineering 15 (Dec 2007), 493--501. Google ScholarGoogle ScholarCross RefCross Ref
  58. Yongwook Bryce Kim. 2017. Physiological Time Series Retrieval and Prediction with Locality-Sensitive Hashing. Ph.D. Dissertation. Massachusetts Institute of Technology.Google ScholarGoogle Scholar
  59. Wing kin Tam, Tong Wu, Qi Zhao, Edward Keefer, and Zhi Yang. 2019. Human motor decoding from neural signals: a review. BMC Biomedical Engineering 1, 1 (Sept. 2019). Google ScholarGoogle ScholarCross RefCross Ref
  60. Asimina Kiourti, Cedric W. L. Lee, Junseok Chae, and John L. Volakis. 2016. A Wireless Fully Passive Neural Recording Device for Unobtrusive Neuropotential Monitoring. IEEE Transactions on Biomedical Engineering 63, 1 (Jan. 2016), 131--137. Google ScholarGoogle ScholarCross RefCross Ref
  61. Mark A. Kramer and Sydney S. Cash. 2012. Epilepsy as a Disorder of Cortical Network Organization. The Neuroscientist 18, 4 (Jan. 2012), 360--372. Google ScholarGoogle ScholarCross RefCross Ref
  62. Vaclav Kremen, Benjamin H. Brinkmann, Inyong Kim, Hari Guragain, Mona Nasseri, Abigail L. Magee, Tal Pal Attia, Petr Nejedly, Vladimir Sladky, Nathanial Nelson, Su-Youne Chang, Jeffrey A. Herron, Tom Adamski, Steven Baldassano, Jan Cimbalnik, Vince Vasoli, Elizabeth Fehrmann, Tom Chouinard, Edward E. Patterson, Brian Litt, Matt Stead, Jamie Van Gompel, Beverly K. Sturges, Hang Joon Jo, Chelsea M. Crowe, Timothy Denison, and Gregory A. Worrell. 2018. Integrating Brain Implants With Local and Distributed Computing Devices: A Next Generation Epilepsy Management System. IEEE Journal of Translational Engineering in Health and Medicine 6 (2018), 1--12. Google ScholarGoogle ScholarCross RefCross Ref
  63. JB Kruskall and M Liberman. 1983. The symmetric time warping algorithm: From continuous to discrete. Time Warps, String Edits and Macromolecules: The Theory and Practice of Sequence Comparison (1983).Google ScholarGoogle Scholar
  64. Levin Kuhlmann, Klaus Lehnertz, Mark P. Richardson, Björn Schelter, and Hitten P. Zaveri. 2018. Seizure prediction --- ready for a new era. Nature Reviews Neurology 14, 10 (Aug. 2018), 618--630. Google ScholarGoogle ScholarCross RefCross Ref
  65. Mustafa Aykut Kural, Jin Jing, Franz Fürbass, Hannes Perko, Erisela Qerama, Birger Johnsen, Steffen Fuchs, M Brandon Westover, and Sándor Beniczky. 2022. Accurate identification of EEG recordings with interictal epileptiform discharges using a hybrid approach: Artificial intelligence supervised by human experts. Epilepsia 63, 5 (2022), 1064--1073. Google ScholarGoogle ScholarCross RefCross Ref
  66. Farah Laiwalla, Jihun Lee, Ah-Hyoung Lee, Ethan Mok, Vincent Leung, Steven Shellhammer, Yoon-Kyu Song, Lawrence Larson, and Arto Nurmikko. 2019. A Distributed Wireless Network of Implantable Sub-mm Cortical Microstimulators for Brain-Computer Interfaces. In 2019 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC). 6876--6879. Google ScholarGoogle ScholarCross RefCross Ref
  67. Mikhail A. Lebedev and Miguel A. L. Nicolelis. 2017. Brain-Machine Interfaces: From Basic Science to Neuroprostheses and Neurorehabilitation. Physiological Reviews 97, 2 (April 2017), 767--837. Google ScholarGoogle ScholarCross RefCross Ref
  68. Jihun Lee, Vincent Leung, Ah-Hyoung Lee, Jiannan Huang, Peter Asbeck, Patrick P Mercier, Stephen Shellhammer, Lawrence Larson, Farah Laiwalla, and Arto Nurmikko. 2021. Neural recording and stimulation using wireless networks of microimplants. Nature Electronics 4, 8 (2021), 604--614. Google ScholarGoogle ScholarCross RefCross Ref
  69. A.C. Linke, L.E. Mash, C.H. Fong, M.K. Kinnear, J.S. Kohli, M. Wilkinson, R. Tung, R.J. Jao Keehn, R.A. Carper, I. Fishman, and R.-.A. Müller. [n. d.]. Dynamic time warping outperforms Pearson correlation in detecting atypical functional connectivity in autism spectrum disorders. 223 ([n. d.]), 117383. Google ScholarGoogle ScholarCross RefCross Ref
  70. F Lotte, L Bougrain, A Cichocki, M Clerc, M Congedo, A Rakotomamonjy, and F Yger. 2018. A review of classification algorithms for EEG-based brain-computer interfaces: a 10 year update. Journal of Neural Engineering 15, 3 (April 2018), 031005. Google ScholarGoogle ScholarCross RefCross Ref
  71. Chen Luo and Anshumali Shrivastava. 2017. SSH (Sketch, Shingle, & Hash) for Indexing Massive-Scale Time Series. In Proceedings of the Time Series Workshop at NIPS 2016 (Proceedings of Machine Learning Research, Vol. 55), Oren Anava, Azadeh Khaleghi, Marco Cuturi, Vitaly Kuznetsov, and Alexander Rakhlin (Eds.). PMLR, Barcelona, Spain, 38--58. https://proceedings.mlr.press/v55/luo16.htmlGoogle ScholarGoogle Scholar
  72. Jeremy Magland, James J Jun, Elizabeth Lovero, Alexander J Morley, Cole Lincoln Hurwitz, Alessio Paolo Buccino, Samuel Garcia, and Alex H Barnett. 2020. SpikeForest, reproducible web-facing ground-truth validation of automated neural spike sorters. Elife 9 (2020), e55167. Google ScholarGoogle ScholarCross RefCross Ref
  73. Andrew Makhorin. 2008. GLPK (GNU linear programming kit). (2008). http://www.gnu.org/s/glpk/glpk.htmlGoogle ScholarGoogle Scholar
  74. Starting Matlab. 2012. Matlab. The MathWorks, Natick, MA (2012).Google ScholarGoogle Scholar
  75. Dennis J. McFarland, Janis Daly, Chadwick Boulay, and Muhammad A. Parvaz. 2017. Therapeutic applications of BCI technologies. Brain-Computer Interfaces 4, 1--2 (April 2017), 37--52. Google ScholarGoogle ScholarCross RefCross Ref
  76. Medtronic. 2008. Medtronic Activa PC Multi-program neurostimulator implant manual. http://www.neuromodulation.ch/sites/default/files/pictures/activa_PC_DBS_implant_manuel.pdf. Retrieved August 10, 2019.Google ScholarGoogle Scholar
  77. Medtronic. 2018. Deep Brain Stimulation Systems - Activa PC. https://www.medtronic.com/us-en/healthcare-professionals/products/neurological/deep-brain-stimulation-systems/activa-pc.html. Retrieved August 10, 2019.Google ScholarGoogle Scholar
  78. Edward M. Merricks, Elliot H. Smith, Guy M. McKhann, Robert R. Goodman, Lisa M. Bateman, Ronald G. Emerson, Catherine A. Schevon, and Andrew J. Trevelyan. 2015. Single unit action potentials in humans and the effect of seizure activity. Brain 138, 10 (July 2015), 2891--2906. Google ScholarGoogle ScholarCross RefCross Ref
  79. Guowang Miao, Jens Zander, Ki Won Sung, and Slimane Ben Slimane. 2016. Fundamentals of mobile data networks. Cambridge University Press.Google ScholarGoogle Scholar
  80. Inc. Micron Technology. [n. d.]. MT29F128G08AKCABH2-10. https://www.micron.com/products/nand-flash/slc-nand/part-catalog/mt29f128g08akcabh2-10.Google ScholarGoogle Scholar
  81. D. Mills. 1995. Simple Network Time Protocol (SNTP). Technical Report. Google ScholarGoogle Scholar
  82. Rosaleena Mohanty, William A. Sethares, Veena A. Nair, and Vivek Prabhakaran. 2020. Rethinking Measures of Functional Connectivity via Feature Extraction. Scientific Reports 10, 1 (Jan. 2020). Google ScholarGoogle ScholarCross RefCross Ref
  83. Andreas F Molisch, Kannan Balakrishnan, Chia-Chin Chong, Shahriar Emami, Andrew Fort, Johan Karedal, Juergen Kunisch, Hans Schantz, Ulrich Schuster, and Kai Siwiak. 2004. IEEE 802.15. 4a channel model-final report. IEEE P802 15, 04 (2004), 0662.Google ScholarGoogle Scholar
  84. Alberto Moreno and Jordi Cortadella. 2017. Synthesis of All-Digital Delay Lines. In 2017 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 75--82. Google ScholarGoogle ScholarCross RefCross Ref
  85. Christian Mühl, Brendan Allison, Anton Nijholt, and Guillaume Chanel. 2014. A survey of affective brain computer interfaces: principles, state-of-the-art, and challenges. Brain-Computer Interfaces 1, 2 (April 2014), 66--84. Google ScholarGoogle ScholarCross RefCross Ref
  86. K.-R. Muller, C.W. Anderson, and G.E. Birch. 2003. Linear and nonlinear methods for brain-computer interfaces. IEEE Transactions on Neural Systems and Rehabilitation Engineering 11, 2 (2003), 165--169. Google ScholarGoogle ScholarCross RefCross Ref
  87. Maxwell D. Murphy, David J. Guggenmos, David T. Bundy, and Randolph J. Nudo. 2016. Current Challenges Facing the Translation of Brain Computer Interfaces from Preclinical Trials to Use in Human Patients. Frontiers in Cellular Neuroscience 9 (Jan. 2016). Google ScholarGoogle ScholarCross RefCross Ref
  88. D. A. Nelson and S. A. Nunneley. 1998. Brain temperature and limits on transcranial cooling in humans: quantitative modeling results. European Journal of Applied Physiology 78, 4 (Aug. 1998), 353--359. Google ScholarGoogle ScholarCross RefCross Ref
  89. Adam R Neumann, Robrecht Raedt, Hendrik W Steenland, Mathieu Sprengers, Katarzyna Bzymek, Zaneta Navratilova, Lilia Mesina, Jeanne Xie, Valerie Lapointe, Fabian Kloosterman, Kristl Vonck, Paul A J M Boon, Ivan Soltesz, Bruce L McNaughton, and Artur Luczak. 2017. Involvement of fast-spiking cells in ictal sequences during spontaneous seizures in rats with chronic temporal lobe epilepsy. Brain 140, 9 (Aug. 2017), 2355--2369. Google ScholarGoogle ScholarCross RefCross Ref
  90. Milos Nikolic, Badrish Chandramouli, and Jonathan Goldstein. 2017. Enabling Signal Processing over Data Streams (SIGMOD '17). Association for Computing Machinery, New York, NY, USA, 95--108. Google ScholarGoogle ScholarDigital LibraryDigital Library
  91. Tony Nowatzki, Newsha Ardalani, Karthikeyan Sankaralingam, and Jian Weng. 2018. Hybrid optimization/heuristic instruction scheduling for programmable accelerator codesign. In Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  92. P. Nuyujukian, J. C. Kao, S. I. Ryu, and K. V. Shenoy. 2017. A Nonhuman Primate Brain-Computer Typing Interface. Proc. IEEE 105, 1 (Jan 2017), 66--72. Google ScholarGoogle ScholarCross RefCross Ref
  93. Paul Nuyujukian, Jose Albites Sanabria, Jad Saab, Chethan Pandarinath, Beata Jarosiewicz, Christine H. Blabe, Brian Franco, Stephen T. Mernoff, Emad N. Eskandar, John D. Simeral, Leigh R. Hochberg, Krishna V. Shenoy, and Jaimie M. Henderson. 2018. Cortical Control of a Tablet Computer by People with Paralysis. PLoS ONE 13, 11 (2018). Google ScholarGoogle ScholarCross RefCross Ref
  94. Catherine L Ojakangas, Ammar Shaikhouni, Gerhard M Friehs, Abraham H Caplan, Mijail D Serruya, Maryam Saleh, Daniel S Morris, and John P Donoghue. 2006. Decoding Movement Intent From Human Premotor Cortex Neurons for Neural Prosthetic Applications. Journal of Clinical Neurophysiology: Official Publication of the American Electroencephalographic Society 23, 6 (2006), 577. Google ScholarGoogle ScholarCross RefCross Ref
  95. Gerard O'Leary, David M. Groppe, Taufik A. Valiante, Naveen Verma, and Roman Genov. 2018. NURIP: Neural Interface Processor for Brain-State Classification and Programmable-Waveform Neurostimulation. IEEE Journal of Solid-State Circuits 53, 11 (Nov. 2018), 3150--3162. Google ScholarGoogle ScholarCross RefCross Ref
  96. Thomas J Oxley, Peter E Yoo, Gil S Rind, Stephen M Ronayne, C M Sarah Lee, Christin Bird, Victoria Hampshire, Rahul P Sharma, Andrew Morokoff, Daryl L Williams, Christopher MacIsaac, Mark E Howard, Lou Irving, Ivan Vrljic, Cameron Williams, Sam E John, Frank Weissenborn, Madeleine Dazenko, Anna H Balabanski, David Friedenberg, Anthony N Burkitt, Yan T Wong, Katharine J Drummond, Patricia Desmond, Douglas Weber, Timothy Denison, Leigh R Hochberg, Susan Mathers, Terence J O'Brien, Clive N May, J Mocco, David B Grayden, Bruce C V Campbell, Peter Mitchell, and Nicholas L Opie. 2020. Motor neuroprosthesis implanted with neurointerventional surgery improves capacity for activities of daily living tasks in severe paralysis: first in-human experience. Journal of NeuroInterventional Surgery 13, 2 (Oct. 2020), 102--108. Google ScholarGoogle ScholarCross RefCross Ref
  97. Marius Pachitariu, Shashwat Sridhar, and Carsen Stringer. 2023. Solving the spike sorting problem with Kilosort. (Jan. 2023). Google ScholarGoogle ScholarCross RefCross Ref
  98. Miguel Pais-Vieira, Amol P. Yadav, Derek Moreira, David Guggenmos, Amílcar Santos, Mikhail Lebedev, and Miguel A. L. Nicolelis. 2016. A Closed Loop Brain-machine Interface for Epilepsy Control Using Dorsal Column Electrical Stimulation. Scientific Reports 6, 1 (Sept. 2016). Google ScholarGoogle ScholarCross RefCross Ref
  99. Chethan Pandarinath, Paul Nuyujukian, Christine H Blabe, Brittany L Sorice, Jad Saab, Francis R Willett, Leigh R Hochberg, Krishna V Shenoy, and Jaimie M Henderson. 2017. High Performance Communication by People with Paralysis Using an Intracortical Brain-Computer Interface. eLife 6 (Feb. 2017). Google ScholarGoogle ScholarCross RefCross Ref
  100. Josef Parvizi and Sabine Kastner. 2018. Promises and limitations of human intracranial electroencephalography. Nature Neuroscience 21, 4 (March 2018), 474--483. Google ScholarGoogle ScholarCross RefCross Ref
  101. Ofir Pele and Michael Werman. 2009. Fast and robust Earth Mover's Distances. In 2009 IEEE 12th international conference on computer vision. IEEE, 460--467. Google ScholarGoogle ScholarCross RefCross Ref
  102. W. W. Peterson and D. T. Brown. 1961. Cyclic Codes for Error Detection. Proceedings of the IRE 49, 1 (1961), 228--235. Google ScholarGoogle ScholarCross RefCross Ref
  103. Timothée Proix, Fabrice Bartolomei, Maxime Guye, and Viktor K. Jirsa. 2017. Individual brain structure and modelling predict seizure propagation. Brain 140, 3 (Feb. 2017), 641--654. Google ScholarGoogle ScholarCross RefCross Ref
  104. Ida Mengyi Pu. 2006. Fundamental Data Compression. Elsevier. Google ScholarGoogle ScholarCross RefCross Ref
  105. Enrique S. Quintana, Gregorio Quintana, Xiaobai Sun, and Robert van de Geijn. 2001. A Note On Parallel Matrix Inversion. SIAM Journal on Scientific Computing 22, 5 (Jan. 2001), 1762--1771. Google ScholarGoogle ScholarDigital LibraryDigital Library
  106. R. Quian Quiroga, L. Reddy, C. Koch, and I. Fried. 2007. Decoding Visual Inputs From Multiple Neurons in the Human Temporal Lobe. Journal of Neurophysiology 98, 4 (Oct. 2007), 1997--2007. Google ScholarGoogle ScholarCross RefCross Ref
  107. Hamed Rahmani and Aydin Babakhani. 2021. A Wirelessly Powered Reconfigurable FDD Radio With On-Chip Antennas for Multi-Site Neural Interfaces. IEEE Journal of Solid-State Circuits 56, 10 (2021), 3177--3190. Google ScholarGoogle ScholarCross RefCross Ref
  108. Adrien B Rapeaux and Timothy G Constandinou. 2021. Implantable brain machine interfaces: first-in-human studies, technology challenges and trends. Current Opinion in Biotechnology 72 (Dec. 2021), 102--111. Google ScholarGoogle ScholarCross RefCross Ref
  109. Hernan Gonzalo Rey, Carlos Pedreira, and Rodrigo Quian Quiroga. 2015. Past, present and future of spike sorting techniques. Brain research bulletin 119 (2015), 106--117. Google ScholarGoogle ScholarCross RefCross Ref
  110. Sakib Reza and Ifana Mahbub. 2022. A Power Budget Analysis for an Implantable UWB Transceiver for Brain Neuromodulation Application. In 2022 IEEE USNC-URSI Radio Science Meeting (Joint with AP-S Symposium). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  111. Ueli Rutishauser, Erin M Schuman, and Adam N Mamelak. 2006. Online detection and sorting of extracellularly recorded action potentials in human medial temporal lobe recordings, in vivo. Journal of Neuroscience Methods 154, 1--2 (2006), 204--224. Google ScholarGoogle ScholarCross RefCross Ref
  112. H. Sakoe and S. Chiba. 1978. Dynamic programming algorithm optimization for spoken word recognition. IEEE Transactions on Acoustics, Speech, and Signal Processing 26, 1 (Feb. 1978), 43--49. Google ScholarGoogle ScholarCross RefCross Ref
  113. Mariella Särestöniemi, Carlos Pomalaza-Raez, Kamran Sayrafian, Teemu Myllylä, and Jari Iinatti. 2022. A Preliminary Study of RF Propagation for High Data Rate Brain Telemetry. In Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering. Springer International Publishing, 126--138. Google ScholarGoogle ScholarCross RefCross Ref
  114. Claudia Serrano-Amenos, Frank Hu, Po T. Wang, Spencer Kellis, Richard A. Andersen, Charles Y. Liu, Payam Heydari, An H. Do, and Zoran Nenadic. 2020. Thermal Analysis of a Skull Implant in Brain-Computer Interfaces. In 2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  115. Preya Shah, Arian Ashourvan, Fadi Mikhail, Adam Pines, Lohith Kini, Kelly Oechsel, Sandhitsu R Das, Joel M Stein, Russell T Shinohara, Danielle S Bassett, et al. 2019. Characterizing the role of the structural connectome in seizure dynamics. Brain 142, 7 (2019), 1955--1972. Google ScholarGoogle ScholarCross RefCross Ref
  116. Aqsa Shakeel, Muhammad Samran Navid, Muhammad Nabeel Anwar, Suleman Mazhar, Mads Jochumsen, and Imran Khan Niazi. 2015. A Review of Techniques for Detection of Movement Intention Using Movement-Related Cortical Potentials. Computational and Mathematical Methods in Medicine 2015 (2015). Google ScholarGoogle ScholarCross RefCross Ref
  117. Junhua Shen, Akira Shikata, Lalinda D. Fernando, Ned Guthrie, Baozhen Chen, Mark Maddox, Nikhil Mascarenhas, Ron Kapusta, and Michael C. W. Coln. 2018. A 16-bit 16-MS/s SAR ADC With On-Chip Calibration in 55-nm CMOS. IEEE Journal of Solid-State Circuits 53, 4 (2018), 1149--1160. Google ScholarGoogle ScholarCross RefCross Ref
  118. H. Shiao, V. Cherkassky, J. Lee, B. Veber, E. E. Patterson, B. H. Brinkmann, and G. A. Worrell. 2017. SVM-Based System for Prediction of Epileptic Seizures From iEEG Signal. IEEE Transactions on Biomedical Engineering 64, 5 (May 2017), 1011--1022. Google ScholarGoogle ScholarCross RefCross Ref
  119. Jerry J. Shih, Dean J. Krusienski, and Jonathan R. Wolpaw. 2012. Brain-Computer Interfaces in Medicine. Mayo Clinic Proceedings 87, 3 (March 2012), 268--279. Google ScholarGoogle ScholarCross RefCross Ref
  120. Larry E Shupe, Frank P Miles, Geoff Jones, Richy Yun, Jonathan Mishler, Irene Rembado, R Logan Murphy, Steve I Perlmutter, and Eberhard E Fetz. 2021. Neurochip3: An Autonomous Multichannel Bidirectional Brain-Computer Interface for Closed-Loop Activity-Dependent Stimulation. Frontiers in Neuroscience 15 (2021). Google ScholarGoogle ScholarCross RefCross Ref
  121. Kanber Mithat Silay, Catherine Dehollain, and Michel Declercq. 2008. Numerical analysis of temperature elevation in the head due to power dissipation in a cortical implant. In 2008 30th Annual International Conference of the IEEE Engineering in Medicine and Biology Society. IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  122. Kanber Mithat Silay, Catherine Dehollain, and Michel Declercq. 2011. Numerical Thermal Analysis of a Wireless Cortical Implant with Two-Body Packaging. BioNanoScience 1, 3 (June 2011), 78--88. Google ScholarGoogle ScholarCross RefCross Ref
  123. John D Simeral, Thomas Hosman, Jad Saab, Sharlene N Flesher, Marco Vilela, Brian Franco, Jessica N Kelemen, David M Brandman, John G Ciancibello, Paymon G Rezaii, et al. 2021. Home Use of a Percutaneous Wireless Intracortical Brain-Computer Interface by Individuals With Tetraplegia. IEEE Transactions on Biomedical Engineering 68, 7 (2021), 2313--2325. Google ScholarGoogle ScholarCross RefCross Ref
  124. Nicholas D. Skomrock, Michael A. Schwemmer, Jordyn E. Ting, Hemang R. Trivedi, Gaurav Sharma, Marcia A. Bockbrader, and David A. Friedenberg. 2018. A Characterization of Brain-Computer Interface Performance Trade-Offs Using Support Vector Machines and Deep Neural Networks to Decode Movement Intent. Frontiers in Neuroscience 12 (Oct. 2018). Google ScholarGoogle ScholarCross RefCross Ref
  125. Vladimir Sladky, Petr Nejedly, Filip Mivalt, Benjamin H Brinkmann, Inyong Kim, Erik K St. Louis, Nicholas M Gregg, Brian N Lundstrom, Chelsea M Crowe, Tal Pal Attia, et al. 2022. Distributed brain co-processor for tracking spikes, seizures and behaviour during electrical brain stimulation. Brain Communications 4, 3 (2022), fcac115. Google ScholarGoogle ScholarCross RefCross Ref
  126. Elliot H. Smith and Catherine A. Schevon. 2016. Toward a Mechanistic Understanding of Epileptic Networks. Current Neurology and Neuroscience Reports 16, 11 (Sept. 2016). Google ScholarGoogle ScholarCross RefCross Ref
  127. A. M. Sodagar, K. D. Wise, and K. Najafi. 2009. A Wireless Implantable Microsystem for Multichannel Neural Recording. IEEE Transactions on Microwave Theory and Techniques 57, 10 (Oct 2009), 2565--2573. Google ScholarGoogle ScholarCross RefCross Ref
  128. Boris Sotomayor-Gómez, Francesco P Battaglia, and Martin Vinck. 2021. Spike-Ship: A method for fast, unsupervised discovery of high-dimensional neural spiking patterns. bioRxiv (2021), 2020--06. Google ScholarGoogle ScholarCross RefCross Ref
  129. Karthik Sriram, Xiayuan Wen, Ioannis Karageorgos, Ján Veselý, Nick Lindsay, Michael Wu, Lenny Khazan, Raghav Pradyumna Pothukuchi, Rajit Manohar, and Abhishek Bhattacharjee. 2023. HALO: A Hardware-Software Co-Designed Processor for Brain-Computer Interfaces. IEEE Micro (2023). Google ScholarGoogle ScholarDigital LibraryDigital Library
  130. Ian Stevenson and Konrad Kording. 2011. How Advances in Neural Recording Affect Data Analysis. Nature neuroscience 14 (02 2011), 139--42. Google ScholarGoogle ScholarCross RefCross Ref
  131. Felice T Sun and Martha J Morrell. 2014. Closed-loop Neurostimulation: The Clinical Experience. Neurotherapeutics 11, 3 (2014), 553--563. Google ScholarGoogle ScholarCross RefCross Ref
  132. Felice T Sun and Martha J Morrell. 2014. The RNS System:responsive cortical stimulation for the treatment of refractory partial epilepsy. Expert Review of Medical Devices 11, 6 (Aug. 2014), 563--572. Google ScholarGoogle ScholarCross RefCross Ref
  133. Steven Swanson, Ken Michelson, Andrew Schwerin, and Mark Oskin. 2003. WaveScalar. In Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36. IEEE, 291--302. Google ScholarGoogle ScholarCross RefCross Ref
  134. Katarzyna M. Szostak, Peilong Feng, Federico Mazza, and Timothy G. Constandinou. 2021. Distributed Neural Interfaces: Challenges and Trends in Scaling Implantable Technology. In Handbook of Neuroengineering. Springer Singapore, 1--37. Google ScholarGoogle ScholarCross RefCross Ref
  135. Sina Tafazoli, Camden J MacDowell, Zongda Che, Katherine C Letai, Cynthia R Steinhardt, and Timothy J Buschman. 2020. Learning to control the brain through adaptive closed-loop patterned stimulation. Journal of Neural Engineering 17, 5 (2020), 056007. Google ScholarGoogle ScholarCross RefCross Ref
  136. Desney S. Tan and Anton Nijholt (Eds.). 2010. Brain-Computer Interfaces. Springer London. Google ScholarGoogle ScholarCross RefCross Ref
  137. Attaphongse Taparugssanagorn, Alberto Rabbachin, Matti Hämäläinen, Jani Saloranta, Jari Iinatti, et al. 2008. A Review of Channel Modelling for Wireless Body Area Network in Wireless Medical Communications. The 11th International Symposium on Wireless Personal Multimedia Communications (WPMC (2008).Google ScholarGoogle Scholar
  138. Sonia Todorova, Patrick Sadtler, Aaron Batista, Steven Chase, and Valérie Ventura. 2014. To sort or not to sort: the impact of spike-sorting on neural decoding performance. Journal of neural engineering 11, 5 (2014), 056005. Google ScholarGoogle ScholarCross RefCross Ref
  139. Christopher Torng, Peitian Pan, Yanghui Ou, Cheng Tan, and Christopher Batten. 2021. Ultra-Elastic CGRAs for Irregular Loop Specialization. In 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  140. Eric M. Trautmann, Sergey D. Stavisky, Subhaneil Lahiri, Katherine C. Ames, Matthew T. Kaufman, Daniel J. O'Shea, Saurabh Vyas, Xulu Sun, Stephen I. Ryu, Surya Ganguli, and Krishna V. Shenoy. 2019. Accurate Estimation of Neural Population Dynamics without Spike Sorting. Neuron 103, 2 (July 2019), 292--308.e4. Google ScholarGoogle ScholarCross RefCross Ref
  141. Farhad R. Udwadia, Patrick J. McDonald, Mary B. Connolly, Viorica Hrincu, and Judy Illes. 2020. Youth Weigh In: Views on Advanced Neurotechnology for Drug-Resistant Epilepsy. Journal of Child Neurology 36, 2 (Sept. 2020), 128--132. Google ScholarGoogle ScholarCross RefCross Ref
  142. U.S. Food and Drug Administration. 2019. Implanted Brain-Computer Interface (BCI) Devices for Patients with Paralysis or Amputation - Non-clinical Testing and Clinical Considerations. https://www.fda.gov/regulatory-information/search-fda-guidance-documents/implanted-brain-computer-interface-bci-devices-patients-paralysis-or-amputation-non-clinical-testing. Retrieved August 10, 2019.Google ScholarGoogle Scholar
  143. U.S. Food and Drug Administration. 2021. FDA authorizes marketing of device to facilitate muscle rehabilitation in stroke patients. https://www.fda.gov/news-events/press-announcements/fda-authorizes-marketing-device-facilitate-muscle-rehabilitation-stroke-patients.Google ScholarGoogle Scholar
  144. Mariska J. Vansteensel, Elmar G.M. Pels, Martin G. Bleichner, Mariana P. Branco, Timothy Denison, Zachary V. Freudenburg, Peter Gosselaar, Sacha Leinders, Thomas H. Ottens, Max A. Van Den Boom, Peter C. Van Rijen, Erik J. Aarnoutse, and Nick F. Ramsey. 2016. Fully Implanted Brain-Computer Interface in a Locked-In Patient with ALS. New England Journal of Medicine 375, 21 (Nov. 2016), 2060--2066. Google ScholarGoogle ScholarCross RefCross Ref
  145. Gabriel W Vattendahl Vidal, Mathew L Rynes, Zachary Kelliher, and Shikha Jain Goodwin. 2016. Review of Brain-Machine Interfaces Used in Neural Prosthetics with New Perspective on Somatosensory Feedback through Method of Signal Breakdown. Scientifica 2016 (2016). Google ScholarGoogle ScholarCross RefCross Ref
  146. Jonathan Viventi, Dae-Hyeong Kim, Leif Vigeland, Eric S Frechette, Justin A Blanco, Yun-Soung Kim, Andrew E Avrin, Vineet R Tiruvadi, Suk-Won Hwang, Ann C Vanleer, Drausin F Wulsin, Kathryn Davis, Casey E Gelber, Larry Palmer, Jan Van der Spiegel, Jian Wu, Jianliang Xiao, Yonggang Huang, Diego Contreras, John A Rogers, and Brian Litt. 2011. Flexible, foldable, actively multiplexed, high-density electrode array for mapping brain activity in vivo. Nature Neuroscience 14, 12 (Nov. 2011), 1599--1605. Google ScholarGoogle ScholarCross RefCross Ref
  147. J. Vrba, R. Janca, M. Blaha, P. Jezdik, A. Belohlavkova, P. Krsek, and D. Vrba. 2019. Modeling of Brain Tissue Heating Caused by Direct Cortical Stimulation for Assessing the Risk of Thermal Damage. IEEE Transactions on Neural Systems and Rehabilitation Engineering 27, 3 (March 2019), 440--449. Google ScholarGoogle ScholarCross RefCross Ref
  148. Jennifer Walinga and Charles Stangor. [n. d.]. Neuron and the Brain. Affordable Course Transformation: The Pennsylvania State University.Google ScholarGoogle Scholar
  149. Huan Wang, Bonnie Wang, Kieran P. Normoyle, Kevin Jackson, Kevin Spitler, Matthew F. Sharrock, Claire M. Miller, Catherine Best, Daniel Llano, and Rose Du. 2014. Brain temperature and its fundamental properties: a review for clinical neuroscientists. Frontiers in Neuroscience 8 (Oct. 2014). Google ScholarGoogle ScholarCross RefCross Ref
  150. Jing Wang, Rongfeng Zhao, Peitong Li, Zhiqiang Fang, Qianqian Li, Yanling Han, Ruyan Zhou, and Yun Zhang. 2022. Clinical Progress and Optimization of Information Processing in Artificial Visual Prostheses. Sensors 22, 17 (Aug. 2022), 6544. Google ScholarGoogle ScholarCross RefCross Ref
  151. Allen Waziri, Catherine A. Schevon, Joshua Cappell, Ronald G. Emerson, Guy M. McKhann, and Robert R. Goodman. 2009. Initial surgical experience with a dense cortical microarray in epileptic patients undergoing craniotomy for subdural electrode implantation. Neurosurgery 64, 3 (March 2009), 540--545. Google ScholarGoogle ScholarCross RefCross Ref
  152. Jian Weng, Sihao Liu, Zhengrong Wang, Vidushi Dadu, and Tony Nowatzki. 2020. A Hybrid Systolic-Dataflow Architecture for Inductive Matrix Algorithms. In 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  153. Johan Wessberg and Miguel A. L. Nicolelis. 2004. Optimizing a Linear Algorithm for Real-Time Robotic Control using Chronic Cortical Ensemble Recordings in Monkeys. Journal of Cognitive Neuroscience 16, 6 (2004), 1022--1035. Google ScholarGoogle ScholarDigital LibraryDigital Library
  154. Alik S. Widge, Darin D. Dougherty, and Chet T. Moritz. 2014. Affective brain-computer interfaces as enabling technology for responsive psychiatric stimulation. Brain-Computer Interfaces 1, 2 (April 2014), 126--136. Google ScholarGoogle ScholarCross RefCross Ref
  155. K. Wiklundh. 2006. Relation between the amplitude probability distribution of an interfering signal and its impact on digital radio receivers. IEEE Transactions on Electromagnetic Compatibility 48, 3 (2006), 537--544. Google ScholarGoogle ScholarCross RefCross Ref
  156. Francis Willett, Erin Kunz, Chaofei Fan, Donald Avansino, Guy Wilson, Eun Young Choi, Foram Kamdar, Leigh R. Hochberg, Shaul Druckmann, Krishna V. Shenoy, and Jaimie M. Henderson. 2023. A high-performance speech neuroprosthesis. (Jan. 2023). Google ScholarGoogle ScholarCross RefCross Ref
  157. Francis R. Willett, Donald T. Avansino, Leigh R. Hochberg, Jaimie M. Henderson, and Krishna V. Shenoy. 2021. High-performance brain-to-text communication via handwriting. Nature 593, 7858 (May 2021), 249--254. Google ScholarGoogle ScholarCross RefCross Ref
  158. Francis R. Willett, Daniel R. Young, Brian A. Murphy, William D. Memberg, Christine H. Blabe, Chethan Pandarinath, Sergey D. Stavisky, Paymon Rezaii, Jad Saab, Benjamin L. Walter. Jennifer A. Sweet, Jonathan P. Miller, Jaimie M. Henderson, Krishna V. Shenoy, John D. Simeral, Beata Jarosiewicz, Leigh R. Hochberg, Robert F. Kirsch, and A. Bolu Ajiboye. 2019. Principled BCI Decoder Design and Parameter Selection Using a Feedback Control Model. Scientific Reports 9, 1 (2019), 8881. Google ScholarGoogle ScholarCross RefCross Ref
  159. Matthew S. Willsey, Samuel R. Nason-Tomaszewski, Scott R. Ensel, Hisham Temmar, Matthew J. Mender, Joseph T. Costello, Parag G. Patil, and Cynthia A. Chestek. 2022. Real-time brain-machine interface in non-human primates achieves high-velocity prosthetic finger movements using a shallow feedforward neural network decoder. Nature Communications 13, 1 (Nov. 2022). Google ScholarGoogle ScholarCross RefCross Ref
  160. Patrick D. Wolf. 2008. Thermal Considerations for the Design of an Implanted Cortical Brain-Machine Interface (BMI). Indwelling Neural Implants: Strategies for Contending with the In Vivo Environment (2008). https://www.ncbi.nlm.nih.gov/books/NBK3932/Google ScholarGoogle Scholar
  161. Di Wu, Jingjie Li, Zhewen Pan, Younghyun Kim, and Joshua San Miguel. 2022. uBrain: A Unary Brain Computer Interface. In Proceedings of the 49th Annual International Symposium on Computer Architecture. Association for Computing Machinery, 468--481. Google ScholarGoogle ScholarDigital LibraryDigital Library
  162. W Wu, M. Black, Y. Gao, M. Serruya, A. Shaikhouni, J. Donoghue, and Elie Bienenstock. 2002. Neural Decoding of Cursor Motion Using a Kalman Filter. In Advances in Neural Information Processing Systems, S. Becker, S. Thrun, and K. Obermayer (Eds.), Vol. 15. MIT Press. https://proceedings.neurips.cc/paper_files/paper/2002/file/169779d3852b32ce8b1a1724dbf5217d-Paper.pdfGoogle ScholarGoogle Scholar
  163. Tao Xue, Shujun Chen, Yutong Bai, Chunlei Han, Anchao Yang, and Jianguo Zhang. 2022. Neuromodulation in drug-resistant epilepsy: A review of current knowledge. Acta Neurologica Scandinavica 146, 6 (Sept. 2022), 786--797. Google ScholarGoogle ScholarCross RefCross Ref
  164. Gürkan Yilmaz and Catherine Dehollain. [n. d.]. Wireless Power Transfer and Data Communication for Neural Implants. Springer International Publishing. Google ScholarGoogle ScholarCross RefCross Ref
  165. Ming Yin, David A Borton, Jacob Komar, Naubahar Agha, Yao Lu, Hao Li, Jean Laurens, Yiran Lang, Qin Li, Christopher Bull, et al. 2014. Wireless Neurosensor for Full-Spectrum Electrophysiology Recordings during Free Behavior. Neuron 84, 6 (2014), 1170--1182. Google ScholarGoogle ScholarCross RefCross Ref
  166. Ming Yin, Hao Li, Christopher Bull, David A. Borton, Juan Aceros, Lawrence Larson, and Arto V. Nurmikko. 2013. An externally head-mounted wireless neural recording device for laboratory animal research and possible human clinical use. In 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC). IEEE. Google ScholarGoogle ScholarCross RefCross Ref
  167. D Young, F Willett, W D Memberg, B Murphy, P Rezaii, B Walter, J Sweet, J Miller, K V Shenoy, L R Hochberg, R F Kirsch, and A B Ajiboye. 2019. Closed-Loop Cortical Control of Virtual Reach and Posture using Cartesian and Joint Velocity Commands. Journal of Neural Engineering 16, 2 (Jan 2019), 026011. Google ScholarGoogle ScholarCross RefCross Ref
  168. Joseph W Young. 1993. Head and Face Anthropometry of Adult U.S. Citizens. Technical Report. Federal Aviation Administration. https://rosap.ntl.bts.gov/view/dot/21363Google ScholarGoogle Scholar
  169. K.Y. Yun and R.P. Donohue. 1996. Pausible clocking: a first step toward heterogeneous systems. In Proceedings International Conference on Computer Design. VLSI in Computers and Processors. 118--123. Google ScholarGoogle ScholarCross RefCross Ref
  170. Hamed Zaer, Ashlesha Deshmukh, Dariusz Orlowski, Wei Fan, Pierre-Hugues Prouvot, Andreas Nørgaard Glud, Morten Bjørn Jensen, Esben Schjødt Worm, Slávka Lukacova, Trine Werenberg Mikkelsen, Lise Moberg Fitting, John R. Adler, M. Bret Schneider, Martin Snejbjerg Jensen, Quanhai Fu, Vinson Go, James Morizio, Jens Christian Hedemann Sørensen, and Albrecht Stroh. 2021. An Intracortical Implantable Brain-Computer Interface for Telemetric Real-Time Recording and Manipulation of Neuronal Circuits for Closed-Loop Intervention. Frontiers in Human Neuroscience 15 (Feb. 2021). Google ScholarGoogle ScholarCross RefCross Ref
  171. Rina Zelmann, Angelique C Paulk, Ishita Basu, Anish Sarma, Ali Yousefi, Britni Crocker, Emad Eskandar, Ziv Williams, G Rees Cosgrove, Daniel S Weisholtz, et al. 2020. CLoSES: A platform for closed-loop intracranial stimulation in humans. NeuroImage 223 (2020), 117314. Google ScholarGoogle ScholarCross RefCross Ref
  172. Biao Zhang, Jianjun Wang, and Thomas Fuhlbrigge. 2010. A review of the commercial brain-computer interface technology from perspective of industrial robotics. In 2010 IEEE International Conference on Automation and Logistics. 379--384. Google ScholarGoogle ScholarCross RefCross Ref
  173. Bingzhao Zhu, Uisub Shin, and Mahsa Shoaran. 2021. Closed-Loop Neural Prostheses With On-Chip Intelligence: A Review and a Low-Latency Machine Learning Model for Brain State Detection. IEEE Transactions on Biomedical Circuits and Systems (2021). Google ScholarGoogle ScholarCross RefCross Ref
  174. Christoph Zrenner, Paolo Belardinelli, Florian Müller-Dahlhaus, and Ulf Ziemann. 2016. Closed-Loop Neuroscience and Non-Invasive Brain Stimulation: A Tale of Two Loop. Frontiers in cellular neuroscience 10 (2016), 92. Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. SCALO: An Accelerator-Rich Distributed System for Scalable Brain-Computer Interfacing

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader